2024香港最具教育競爭力中學/小學/幼稚園50強龍虎榜
2024香港最具教育競爭力中學/小學/幼稚園排名指南
最近十一年香港最具教育競爭力中學/小學/幼稚園50強完整版榜單:
2024202320222021/202019201820172016201520142013
教育競爭力評比體系說明
校風評比體系說明
服务全球华人的中英文書籍網上書店
您的購物車是空的

EDA技術與實踐/高職高專電子信息「十二五」規劃教材

  • 作者:焦素敏 編
  • 出版社: 化學工業出版社
  • 出版時間:2014-01-01
  • 版次:1
  • 商品編號: 11367573

    頁數:245

    裝幀:平裝

    開本:16開

    紙張:膠版紙

    印次:1

    正文語種:中文


HK$60.70 (速遞費用須知)
購買額滿HK$158免運費
免郵費優惠僅限香港、澳门、
台灣及中國大陸

購買數量:

內容簡介

 

  《EDA技術與實踐/高職高專電子信息「十二五」規劃教材》簡明而系統地介紹了EDA技術的相關內容,包括EDA技術的概念及特點,EDA技術的物質載體——可編程邏輯器件的基本結構和應用方法,EDA技術的設計語言──VHDL的程序結構、語言要素和常用語句,EDA技術的開發設計流程以及工具軟件QuartusⅡ的使用方法。
  《EDA技術與實踐/高職高專電子信息「十二五」規劃教材》從EDA技術的應用與實踐角度出發,將課程內容進行模塊化組合,設置EDA技術基礎知識、VHDL硬件描述語言、QuartusⅡ軟件的應用、常用電路的VHDL設計實例和EDA設計綜合訓練5個學習模塊,共包含8個任務進行驅動,每個任務又分解為若干個子任務。教學設計以技術項目入手,設計EDA技術入門級知識、EDA軟件的使用方法、VHDL語言描述邏輯系統功能的方法、VHDL程序分析和簡單設計技能實訓、綜合設計實訓5個教學環節,使讀者能夠理論與實踐相融合、課內學習和課外訓練相結合,由簡單到複雜逐步掌握EDA技術的設計方法。
  《EDA技術與實踐/高職高專電子信息「十二五」規劃教材》可作為應用型本科、高職高專電子信息、通信、自動化、計算機等相關專業的教材及社會相關技術的培訓教材,也可作為相關學科工程技術人員的參考書,還可作為電子產品製作、科技創新實踐、EDA課程設計和畢業設計等實踐活動的參考書。

目錄

模塊一 EDA技術基礎知識
任務1 瞭解EDA技術
1.1 EDA技術的概念
1.1.1 案例引入
1.1.2 EDA技術說明
1.1.3 EDA技術的重要性
1.2 EDA技術的知識體系
1.2.1 可編程邏輯器件
1.2.2 硬件描述語言
1.2.3 EDA工具軟件
1.3 EDA技術的特點和發展趨勢
1.3.1 EDA技術的主要特點
1.3.2 EDA技術的發展趨勢
1.4 總結與思考
1.4.1 小結
1.4.2 思考題
任務2 瞭解可編程邏輯器件
2.1 可編程邏輯器件概述
2.1.1 可編程邏輯器件的發展歷程
2.1.2 可編程邏輯器件的分類
2.1.3 基本結構和編程原理
2.1.4 PLD邏輯符號的畫法和約定
2.2 簡單PLD
2.2.1 PAL
2.2.2 GAL
2.3 CPLD和FPGA
2.3.1 CPLD的基本結構
2.3.2 FPGA的基本結構
2.3.3 Altera公司器件介紹
2.3.4 FPGA和CPLD的選用
2.4 ispGDS介紹
2.4.1 ispGDS的原理與結構
2.4.2 ispGDS的使用
2.5 CPLD和FPGA的編程與配置方法
2.5.1 CPLD的ISP方式編程
2.5.2 使用PC並行口配置FPGA
2.5.3 使用專用配置器件配置FPGA
2.5.4 使用單片機配置FPGA
2.6 總結與思考
2.6.1 小結
2.6.2 思考題
任務3 初探EDA技術
3.1 知識準備1——EDA設計流程
3.1.1 設計輸入
3.1.2 設計實現
3.1.3 設計仿真
3.1.4 編程或配置
3.2 知識準備2——QuartusⅡ的圖形界面
3.2.1 工程導航區
3.2.2 狀態區
3.2.3 信息區
3.2.4 工作區
3.2.5 快捷命令工具欄
3.3 實例引導——1位全加器的原理圖輸入設計
3.3.1 任務引入與分析
3.3.2 創建工程設計項目
3.3.3 編輯設計原理圖
3.3.4 設計編譯與仿真
3.3.5 引腳鎖定與編程下載
3.4 總結、思考與實訓
3.4.1 歸納總結
3.4.2 思考題
3.4.3 引導訓練——用層次化方法設計1位全加器
3.4.4 技能實訓1——用原理圖輸入法設計4位全加器

模塊二 VHDL硬件描述語言
任務4 瞭解VHDL程序結構及語言要素
4.1 VHDL程序結構
4.1.1 VHDL程序結構及實例說明
4.1.2 實體(ENTITY)部分說明
4.1.3 結構體(ARCHITECTURE)部分說明
4.1.4 庫(LIBRARY)部分說明
4.1.5 程序包(PACKAGE)部分說明
4.1.6 配置(CONFIGURATION)部分說明
4.2 VHDL語言要素
4.2.1 文字規則
4.2.2 數據對象
4.2.3 數據類型
4.2.4 類型轉換
4.2.5 操作符
4.3 總結與思考
4.3.1 小結
4.3.2 思考題與習題
任務5 學習掌握VHDL語句
5.1 VHDL順序語句
5.1.1 IF語句
5.1.2 CASE語句
5.1.3 LOOP語句
5.1.4 NEXT語句
5.1.5 EXIT語句
5.1.6 WAIT語句
5.1.7 RETURN語句
5.1.8 NULL語句
5.2 VHDL並行語句
5.2.1 PROCESS語句
5.2.2 並行信號賦值語句
5.2.3 BLOCK語句
5.2.4 元件例化語句
5.2.5 GENERATE語句
5.3 其他語句
5.3.1 子程序及子程序調用語句
5.3.2 ASSERT語句
5.3.3 REPORT語句
5.3.4 屬性語句
5.4 總結、思考與訓練
5.4.1 小結
5.4.2 程序閱讀和編寫技能訓練

模塊三 QuartusⅡ軟件的應用
任務6 掌握QuartusⅡ的多種應用
6.1 文本編輯輸入法設計嚮導——計數器設計
6.1.1 編輯設計文件
6.1.2 創建工程
6.1.3 編譯
6.1.4 時序仿真
6.1.5 引腳鎖定與下載
6.2 應用宏功能的原理圖設計
6.2.1 計數器設計
6.2.2 頻率計主體電路設計
6.2.3 時序控制電路的設計
6.2.4 頂層電路設計
6.2.5 引腳鎖定和下載
6.3 層次電路設計
6.3.1 頂層文件設計
6.3.2 創建各模塊的下層設計文件
6.3.3 設計項目的編譯仿真
6.3.4 層次顯示
6.4 技能實訓
6.4.1 技能實訓2——計數譯碼器的文本輸入層次化設計
6.4.2 技能實訓3——2位十進制計數譯碼器的宏函數調用設計
6.4.3 技能實訓4——2位十進制頻率計的宏函數調用與層次設計綜合實訓

模塊四 常用電路的VHDL設計實例
任務7 常用電路的VHDL描述方法
7.1 組合邏輯電路設計
7.1.1 任務引入與分析
7.1.2 任務實施
7.1.3 拓展與訓練
7.2 時序邏輯電路設計
7.2.1 任務引入與分析
7.2.2 任務實施
7.2.3 拓展與訓練
7.3 狀態機設計
7.3.1 任務引入與分析
7.3.2 任務實施
7.3.3 拓展與訓練
7.4 存儲器設計
7.4.1 任務引入與分析
7.4.2 任務實施
7.4.3 拓展與訓練
7.5 特色實用電路設計
7.5.1 任務引入與分析
7.5.2 任務實施
7.5.3 拓展與訓練
7.6 總結、思考與實訓
7.6.1 小結
7.6.2 VHDL編程訓練題
7.6.3 技能實訓5——計數器的設計
7.6.4 技能實訓6——簡易綵燈控制器設計

模塊五 EDA設計綜合訓練
任務8 EDA技能綜合提高
8.1 數字鐘的設計
8.1.1 任務引入與分析——數字鐘的設計要求
8.1.2 任務實施方案
8.1.3 數字鐘各模塊的VHDL源程序設計
8.2 智力競賽搶答器
8.2.1 任務引入與分析——搶答器的設計要求
8.2.2 任務實施方案
8.2.3 搶答器的VHDL源程序設計
8.3 交通燈的控制器設計
8.3.1 任務引入與分析——交通燈控制器的設計要求
8.3.2 任務實施方案
8.3.3 交通燈控制器VHDL源程序設計
8.3.4 調試仿真與驗證
8.4 8路綵燈控制器設計
8.4.1 任務引入與分析——8路綵燈控制器的設計要求
8.4.2 任務實施方案
8.4.3 各模塊的VHDL源程序設計
8.4.4 仿真與調試
8.5 簡易數字頻率計設計
8.5.1 任務引入與分析——頻率計的設計要求
8.5.2 任務實施方案
8.5.3 各模塊的VHDL源程序設計
8.6 「梁祝」樂曲演奏電路設計
8.6.1 任務引入與分析
8.6.2 任務實施方案
8.6.3 各模塊的VHDL源程序設計
8.6.4 調試與實現
8.7 綜合訓練題
附錄 GW48系列EDA實驗開發系統使用說明
參考文獻


我們接受以下的付款方式︰VISA、Mastercard、JCB 信用卡、PayPal、銀行轉帳。